Fuzzy logic based adaptive MPSoC for balanced energy and throughput

Authors

  • Adeel Ahmad Dept. of Electrical Engineering, HITEC University, Taxila Cantt, Pakistan
  • Muhammad Yasir Qadri School of Computer Science and Electronic Engineering, University of Essex, Colchester, United Kingdom
  • Nadia N. Qadri COMSATS Institute of Information Technology, Wah Cantt, Pakistan

Keywords:

Design space exploration, Fuzzy logic, MPSoC, reconfigurable architecture.

Abstract

In this paper a Fuzzy logic based Controller has been proposed to reconfigure multi-processor system on chip (MPSoC)architecture according to the workload requirements. Mamdani and Sugeno Inference Engines are compared andanalyzed to enhance the smooth regulation of reconfiguration in design space. The proposed MPSoC platform consistsof 16 cores, where each core has private L1 cache and a shared L2 cache. The design space exploration parametersevaluated are CPUs operating frequency, number of cores, throughput and energy consumption. Marssx86 simulatoris used to build the target machine and SPLASH-2 benchmarks are executed on this configured architecture. MamdaniInference Engine for the said implementation has shown up to 14%-23% decrease in Energy-Delay2Product (ED2P) forvarious benchmark applications. Hence the performance of Mamdani inference engine was found to be better than theSugeno one for this particular implementation.

Author Biography

Muhammad Yasir Qadri, School of Computer Science and Electronic Engineering, University of Essex, Colchester, United Kingdom

Visiting Post Doc, 

School of Computer Science and Electronic Engineering

References

Baghdadi, A., Zergainoh, N., Cesario, W., Roudier, T. & Jerraya, A.

A.(2000). Design spaceexploration for hardware/software co-design of

multiprocessor systems. In Rapid System Prototyping, 2000. RSP 2000.

Proceedings. 11th International Workshop on, pp 8–13. IEEE.

Bruni, D., Bogliolo, A. & Benini, L.(2001). Statistical design

space exploration for application-specific unit synthesis. In Design

Automation Conference, 2001. Proceedings, pp 641–646. IEEE.

Beltrame, G., Fossati, L. & Sciuto, D. (2010). Decision-theoretic

design space exploration of multiprocessor platforms. Computer-Aided

Design of Integrated Circuits and Systems, IEEE Transactions on,

(7):1083–1095.

Blythe, S.A. & Walker, R.A. (2000). Efficient optimal design space

characterization methodologies. ACM Transactions on Design

Automation of Electronic Systems (TODAES), 5(3):322–336.

Cant´o, E., Fons, M., Fons, F., L´opez, M. & Ramos-Lara, R.

(2013). Fast self-reconfigurable embedded system on spartan-3. J.

UCS, 19(3):301–324.

Calborean, H., Jahr, R., Ungerer, T. & Vintan, L. (2011). Optimizing

a superscalar systemusing multi-objective design space exploration. In

Proceedings of the 18th International Conference on Control Systems

and Computer Science (CSCS), Bucharest, Romania, volume 1, pp

–346.

Erbas, C., Cerav-Erbas, S. & Pimentel, A.D. (2006). Multi-objective

optimization and evolutionary algorithms for the application mapping

problem in multiprocessor system-on-chip design. Evolutionary

Computation, IEEE Transactions on, 10(3):358–374.

Et, M., YAM & Basarir, M. (2007). On some generalized difference

sequences of fuzzy numbers. Kuwait Journal of Science and

Engineering, Volume, 34(3):1–14

Gajski, D.D., Vahid, F., Narayan, S. & Gong, J. (1998). Specsyn:

An environment supporting the specify-explore-refine paradigm for

hardware/software system design. Very Large Scale Integration (VLSI)

Systems, IEEE Transactions, 6(1):84–100.

Gonzalez, R. & Horowitz, M. (1996). Energy dissipation in general

purpose microprocessors. IEEE Journal of Solid-State Circuits,

(9):1277–1284.

Hameed, I.A. (2011). Using gaussian membership functions for

improving the reliability and robustness of students evaluation systems.

Expert Systems with Applications, 38(6):7135–7142.

Ishebabi, H. (2010). Architecture synthesis for adaptive multiprocessor

systems on chip. Ph.D. thesis, Hauptgebäude, Universität Wien,

Universitätsring 1, 1010 Wien, Austria.

Kahng, A.B., Lin, B. & Nath, S. (2012). Comprehensive modeling

methodologies for No Crouter estimation. Department of Computer

Science and Engineering, University of California, San Diego.

Kreutz, M., Marcon, C. A., Carro, L., Wagner, F. & Susin, A.

A. (2005). Designspace exploration comparing homogeneous and

heterogeneous network-on-chip architectures. In Proceedings of the

th annual symposium on Integrated circuits and system design, pp

–195. ACM.

Kang, S. & Kumar, R. (2008). Magellan: a search and machine

learning-based framework for fast multi-core design space exploration

and optimization. In Proceedings of the conference on Design,

automation and test in Europe, pp 1432–1437. ACM.

Lahiri, K., Raghunathan, A. & Dey, S. (2001). System-level

performance analysis for designing on-chip communication

architectures. Computer-Aided Design of Integrated Circuits and

Systems, IEEE Transactions, 20(6):768–783.

Lukasiewycz, M., Glaß, M., Haubelt, C. & Teich, J. (2008). Efficient

symbolic multi-objective design space exploration. In Proceedings of

the 2008 Asia and South Pacific Design Automation Conference, pp

–696. IEEE Computer Society Press.

Monchiero, M., Canal, R. & Gonz´alez, A. (2006). Design space

exploration for multicore architectures: a power/performance/thermal

view. In Proceedings of the 20th annual international conference on

Supercomputing, pp 177–186. ACM.

Mishra, Kumar, V. & Anirban, S. (2014). MO-PSE: Adaptive multiobjective

particle swarm optimization based design space exploration

in architectural synthesis for application specific processor design.

Advances in Engineering Software. Elsevier, 67(12):111-124.

Mandal, S.N., Choudhury, J.P. & Chaudhuri, S.B. (2012). In search

of suitable fuzzy membership function in prediction of time series data.

International Journal of Computer Science, 9(3):293-302

Orsila, H., Kangas, T., Salminen, E. & Hamalainen, T.D. (2006).

Parameter zing simulated annealing for distributing task graphs

on multiprocessor SoCs. In System-on-Chip, 2006. International

Symposium on, pp 1–4. IEEE.

Patel, A., Afram, F., Chen S. & Ghose, K. (2011). MARSS: A full

system simulator formulticore x86 CPUs. In Proceedings of the 48th

Design Automation Conference, pp 1050–1055. ACM.

Palermo, G., Silvano, G., Valsecchi, S. & Zaccaria, V. (2003).

A system-level methodology for fast multi-objective design space

exploration. In Proceedings of the 13th ACM Great Lakes symposium

on VLSI, pp 92–95. ACM.

Phillips, J.D. (2008). AC to register transfer level algorithm using

structured circuit templates: A case study with simulated annealing.

Ph.D. thesis, Utah State University, Logan, Utah, United States.

Qadri, M.Y., McDonald Maier, K.D. & Qadri, N.N. (2014). Energy

and throughput aware fuzzy logic based reconfiguration for MPSoCs.

Journal of Intelligent & Fuzzy Systems, 26(1):101-113.

Qadri, M.Y. & McDonald Maier, K.D. (2009). Data cache-energy

and throughput models: design exploration for embedded processors.

EURASIP journal on embedded systems, 1(2009):1-7.

Shivakumar, P. & Jouppi, N.P. (2001). CACTI 3.0: An integrated

cache timing, power, and area model. Technical report, Technical

Report 2001/2, Compaq Computer Corporation.

Sedighpour, M., VAHID, A., Yousefikhoshbakht, M., Didehvar, F. &

Rahmati, F. (2014). Solving the open vehicle routing problem by a hybrid

ant colony optimization. Kuwait Journal of Science, 41(3):139-162

Woo, S.C., Ohara, M., Torrie, E., Singh, J.P. & Gupta, A. (1995).

The SPLASH-2 programs: Characterization and methodological

considerations. In ACM SIGARCH Computer Architecture News,

volume 23, pp 24–36. ACM.

Downloads

Published

08-08-2016